[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Xylo-SDR] FPGA board



----- Original Message ----- From: "Phil Harman" <pvharman@arach.net.au>
To: "Xylo-SDR Discussion" <xylo-sdr@lists.ae5k.us>
Sent: Monday, January 16, 2006 1:57 PM
Subject: Re: [Xylo-SDR] FPGA board


Hi Leon,

Will have more time to check this tomorrow but the Xylo has an I2C from the
FX2 which we use to set up the A/D etc.

I'll bring them out on the connector.


Also did you find out what the RESERVED pin on the FX2 is used for?

No, I'll just leave it open. I've just noticed I've got some of the FX2 pins wrong, I'll corrrect it.