[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [Xylo-SDR] FPGA board



----- Original Message ----- From: "KD5NWA" <kd5nwa@cox.net>
To: "Xylo-SDR Discussion" <xylo-sdr@lists.ae5k.us>
Sent: Tuesday, January 17, 2006 1:48 PM
Subject: Re: [Xylo-SDR] FPGA board


Be careful the clock pins are not swapable with ordinary i/o pins, the FX2 clock and the module clock need to be connected to clock inputs.

Thanks. I forgot that for the FX2 clock. The oscillator module is OK.

73, Leon